PUBLICATIONS

PUBLISHED BOOK CHAPTERS

  • [B] Zheyu Yan, X. Sharon Hu and Yiyu Shi, "On the Reliability of Computing-in-Memory Accelerators for Deep Neural Networks", chapter in System Dependability and Analytics: Approaching System Dependability from Data, System and Analytics Perspectives, editted by Long Wang, Karthik Pattabiraman, Catello Di Martino, Arjun Athreya and Saurabh Bagchi, Springer, 2023.
  • [B] Jie Wu, Jinjun Xiong, Yiyu Shi, "On Improving the Reliability of Smart grids for Multiple Power Line Outages and Anomaly Detection," chapter in System Dependability and Analytics: Approaching System Dependability from Data, System and Analytics Perspectives, editted by Long Wang, Karthik Pattabiraman, Catello Di Martino, Arjun Athreya and Saurabh Bagchi, Springer, 2023.
  • [B] Umamaheswara Rao Tida, Cheng Zhuo, and Yiyu Shi, "Green On-chip Inductors for Three-Dimensional Integrated Circuits: Concepts, Algorithms and Applications," chapter in CMOS and Post-CMOS Perspectives of Electronic Device Scaling, edited by Saraju Mohanty and Ashok Srivastava, IET Publishing 2015.
  • [B] Yuguang Chen, Shi-Chieh Chang and Yiyu Shi," Live Free or Die Hard: Design for Reliability in Three-Dimensional Integrated Circuits," chapter in Physical Design for 3D Integrated Circuits, edited by Aida Todri-Sanial and Chuan Seng Tan, CRC Publishing 2015.
  • [B] Sungkyu Lim and Yiyu Shi, "Design Challenges and Solutions for Monolithic 3D ICs," chapter in Physical Design for 3D Integrated Circuits, edited by Aida Todri-Sanial and Chuan Seng Tan, CRC Publishing 2015.
  • [B] Yiyu Shi, Yang Shang and Hao Yu, "IC-Package-System Integration Design," chapter in Advanced Flip Chip Packaging, edited by Ho-Ming Tong, Yi-Shao Lai and C. P. Wong, Springer Publishing 2013.
  • [B] Yiyu Shi, Jinjun Xiong and Lei He, "Stochastic Optimization Over Correlated Data Set: A Case Study on VLSI Decoupling Capacitance Budgeting," chapter in Stochastic Optimization - Seeing the Optimal for the Uncertain, Intech publishing 2011 (ISBN: 978-953-307-829-8).
  • [B] Yiyu Shi, Hao Yu and Lei He, "Noise Driven In Package Decoupling Capacitor Optimization for Power Integrity", chapter in Recent Advancements in Modeling of Semiconductor Processes, Circuits and Chip-Level Interactions, edited by Rasit Onur Topaloglu and Peng Li, pp. 167-188, Bentham Publishing 2010 (ISBN 978-1-60805-695-8).



REFEREED JOURNAL ARTICLES

  • [J] Zheyu Yan, X. Sharon Hu and Yiyu Shi, "Compute-in-Memory based Neural Network Accelerators for Safety-Critical Systems: Worst-Case Scenarios and Protections," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (in print)
  • [J] Xunzhao Yin, Yu Qian, Alptekin Vardar, Marcel Gunther, Franz Muller, Nellie Laleni, Zijian Zhao, Zhouhang Jiang, Zhiguo Shi, Yiyu Shi, Xiao Gong, Cheng Zhuo, Thomas Kampfe, "First Demonstration of Ferroelectric Compute-in-Memory Annealer for Combinatorial Optimization Problems," Nature Communications, 2024.
  • [J] Han Xiao, Zongpeng Weng, Kaiyu Sun, Jingxian Shen, Jie Lin, Shuling Chen, Bin Li, Yiyu Shi, Ming Kuang, Xinming Song, Weixiang Weng and Sui Peng, "Predicting 5 year Recurrence Risk in Colorectal Cancer: Development and Validation of a Histology-based Deep Learning Approach," British Journal of Cancer, 2024.
  • [J] Zhiding Liang, Jinglei Cheng, Hang Ren, Hanrui Wang, Fei Hua, Zhixin Song, Yongshan Ding, Fred Chong, Song Han, Xuehai Qian, and Yiyu Shi, "NAPA: Intermediate-level Variational Native-pulse Ansatz for Variational Quantum Algorithms," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems.
  • [J] Noelia Ferruz , Marinka Zitnik, Pierre-Yves Oudeyer , Emmie Hine, Nandana Sengupta, Yiyu Shi, Diana Mincu, Sebastian Porsdam Mann, Payel Das and Francesco Stella, "Anniversary AI reflections," Nature Machine Intelligence, 2024.
  • [J] Zheyu Yan, X. Sharon Hu and Yiyu Shi, "U-SWIM: Universal Selective Write-Verify for Computing-in-Memory Neural Accelerators," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (in print).
  • [J] Xiaowei Xu, Qianjun Jia, Haiyun Yuan, Hailong Qiu, Yuhao Dong, Wen Xie, Zeyang Yao, Jiawei Zhang, Zhiqaing Nie, Xiaomeng Li, Yiyu Shi, James Y. Zou, Meiping Huang, Jian Zhuang, "A Clinically Applicable AI System for Diagnosis of Congenital Heart Diseases based on Computed Tomography Images," Medical Image Analysis.
  • [J] Zhenge Jia, Dawei Li, Cong Liu, Liqi Liao, Xiaowei Xu, Lichuan Ping and Yiyu Shi, "TinyML Design Contest for Life-Threatening Ventricular Arrhythmia Detection," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (in print).
  • [J] Shanshan Bi, Dan Pan, Najeeb Ullah, Kaleem Nawaz Khan, Tianchen Wang, Yiyu Shi, Xiaomeng Li, Guisen Lin and Xiaowei Xu, "ImageCAS: A Large-Scale Dataset and Benchmark for Coronary Artery Segmentation based on Computed Tomography Angiography Images," Computerized Medical Imaging and Graphics, 2023.
  • [J] Jiahe Shi, Yawen Wu, Dewen Zeng, Jun Tao, Jingtong Hu, Yiyu Shi, "Self-supervised On-device Federated Learning from Unlabeled Streams," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (in print).
  • [J] Zhenge Jia, Jianxu Chen, Xiaowei Xu, John Kheir, Jingtong Hu, Han Xiao, Sui Peng, Sharon Hu, Danny Chen, and Yiyu Shi, "The Importance of Resource Awareness in Artificial Intelligence for Healthcare," Nature Machine Intelligence, 2023 (in print).
  • [J] Zeyang Yao, Xiaowei Xu, Wen Xie, Hailong Qiu, Haiyun Yuan, Yiyu Shi, Meiping Huang and Jian Zhuang, “Graph Matching and Deep Neural Networks based Whole Heart and Great Vessel Segmentation in Congenital Heart Disease," Scientific Reports (in print).
  • [J] Zhenge Jia, Dawei Li, Xiaowei Xu, Na Li, Feng Hong, Lichuan Ping, Yiyu Shi, "Life-Threatening Ventricular Arrhythmia Detection Challenge in Implantable Cardioverter Defibrillators," Nature Machine Intelligence (in print).
  • [J] Shunjie Dong, Zixuan Pan, Yu Fu, Dongwei Xu, Kuangyu Shi, Qianqian Yang, Yiyu Shi, and Cheng Zhuo, "Partial Unbalanced Feature Transport for Unsupervised Cross-Modality Cardiac Image Segmentation," IEEE Transactions on Medical Imaging (in print).
  • [J] Mingqi Li, Dewen Zeng, Yanxiang Zhou, Jinling Chen, Sheng Cao, Hongning Song, Bo Hu, Wenyue Yuan, Jing Chen, Yuanting Yang, Hao Wang, Hongwen Fei, Yiyu Shi and Qing Zhou, "A Novel Risk Stratification Model for STEMI after Primary PCI: Global Longitudinal Strain and Deep Neural Network Assisted Myocardial Contrast Echocardiography Quantitative Analysis," Frontiers in Cardiovascular Medicine, 2023.
  • [J] Xunzhao Yin, Franz Müller, Qingrong Huang, Chao Li, Mohsen Imani, Zeyu Yang, Jiahao Cai, Maximilian Lederer, Ricardo Olivo, Nellie Laleni, Shan Deng, Zijian Zhao, Zhiguo Shi, Yiyu Shi, Cheng Zhuo, Thomas Kämpfe, and Kai Ni, "An Ultracompact Single-Ferroelectric Field-Effect Transistor Binary and Multibit Associative Search Engine," Advanced Intelligent Systems, 2023.
  • [J] Yu Fu, Shunjie Dong, Meng Niu, Le Xue, Hanning Guo, Yanyan Huang, Yuanfan Xu, Kuangyu Shi, Qianqian Yang, Yiyu Shi, Mei Tian, Cheng Zhuo, "AIGAN: Attention-encoding Integrated Generative Adversarial Network for the Reconstruction of Low-dose CT and Low-dose PET Images," Medical Image Analysis, vol 86, 2023.
  • [J] Zhenge Jia, Xiaowei Xu, Jingtong Hu and Yiyu Shi, "Low-power Object-detection Challenge on Unmanned Aerial Vehicles," Nature Machine Intelligence, 4, pp. 1265–1266, 2022.
  • [J] Zhenge Jia, Yiyu Shi and Jingtong Hu, "Personalized Neural Network for Patient-Specific Health Monitoring in IoT: A Meta-Learning Approach," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 41(12), pp. 5394 - 5407, 2022.
  • [J] Yawen Wu, Dewen Zeng, Zhepeng Wang, Yiyu Shi, Jingtong Hu, "Distributed Contrastive Learning for Medical Image Segmentation," Medical Image Analysis, vol. 81, 2022.
  • [J] Tianchen Wang, Jiawei Zhang, Jinjun Xiong, Song Bian, Zheyu Yan, Meiping Huang, Jian Zhuang, Takashi Sato, Xiaowei Xu, Yiyu Shi, "VisualNet: An End-to-End Human Visual System Inspired Framework to Reduce Inference Latency of Deep Neural Networks," in IEEE Transactions on Computers, 71(11), pp. 2717 - 2727, 2022.
  • [J] Boyang Li, Qing Lu, Weiwen Jiang, Taeho Jung and Yiyu Shi, "A Collaboration Strategy in the Mining Pool for Proof-of-Neural-Architecture Consensus," Blockchain: Research and Applications, 3(4), 2022.
  • [J] Shunjie Dong, Zixuan Pan, Yu Fu, Qianqian Yang, Yuanxue Gao, Tianbai Yua, Yiyu Shi and Cheng Zhuo, "DeU-Net 2.0: Enhanced Deformable U-Net for 3D Cardiac Cine MRI Segmentation," Medical Image Analysis, vol. 78, 2022.
  • [J] Yutian Chen, Jiawei Zhang, Dewen Zeng, Yiyu Shi, Yuan Haiyun, Jian Zhuang, Yuhao Dong, Qianjun Jia, Yanchun Zhang, Meiping Huang, Xiaowei Xu, "Myocardial Segmentation of Cardiac MRI Sequences with Temporal Consistency for Coronary Artery Disease Diagnosis," Frontiers in Cardiovascular Medicine, 2022.
  • [J] Chenyi Wen, Xiao Dong, Baixin Chen, Umamaheswara Tida Rao, Yiyu Shi and Cheng Zhuo, "Magnetic Core TSV-Inductor Design and Optimization for On-Chip DC-DC Converter,” ACM Transactions on Design Automation of Electronic Systems, 27(5), pp. 1-23, 2022.
  • [J] Zhenge Jia, Yiyu Shi, Samir Saba, and Jingtong Hu, "On-device Prior Knowledge Incorporated Learning for Personalized Atrial Fibrillation Detection," ACM Transactions on Embedded Computing Systems, 20(56), 2021.
  • [J] Jiawei Zhang, Yanchun Zhang, Hailong Qiu, Wen Xie, Zeyang Yao, Yuan Haiyun, qianjun Jia, Tianchen Wang, Yiyu Shi, Meiping Huang, Jian Zhuang, and Xiaowei Xu, "Pyramid-Net: Intra-layer Pyramid-scale Feature Aggregation for Retinal Vessel Segmentation," Frontiers in Medicine, 2021.
  • [J] Zeyang Yao, Hailong Qiu, Yuan Haiyun, Jian Zhuang, Jiawei Zhang, Qianjun Jia, Yuhao Dong, Tianchen Wang, Yiyu Shi, Meiping Huang and Xiaowei Xu, "ImageTBAD: A 3D Computed Tomography Angiography Image Dataset for Automatic Segmentation of Type-B Aortic Dissection," Frontiers in Physiology, vol. 12, 2021.
  • [J] Xiaowei Xu, Jinglan Liu, Yukun Ding, Yiyu Shi, Qianjun Jia, Haiyun Yuan, Meiping Huang, and Jian Zhuang "Multi-Cycle-Consistent Adversarial Networks for Edge Denoising of Computed Tomography Images," ACM Journal on Emerging Technologies of Computing Systems, 17(4), pp. 1-16, 2021.
  • [J] Zeyang Yao, Xiaobing Liu, Xinrong Hu, Wen Xie, Yuhao Dong, Hailong Qiu, Zewen Chen, Xiaowei Xu, Yiyu Shi, Meiping Huang and Jian Zhuang, "A machine learning-based pulmonary venous obstruction prediction model using clinical data and CT image," International Journal of Computer Assisted Radiology and Surgery, 16, pp. 609–617, 2021.
  • [J] Wentao Chen, Chutong Zhang, Yu Hu, Qing Lu, Yiyu Shi and Xiaowei Xu, "Quantization of Deep Neural Networks for Accurate Edge Computing," ACM Journal on Emerging Technologies of Computing Systems, pp. 1-11, 2021.
  • [J] Xiaowei Xu, Haiyun Yuan, Yiyu Shi, Qianjun Jia, Zeyang Yao, Wen Xie, Humming Guo, Meiping Huang, Jian Zhuang and Hailong Qiu, "AI-CHD: An AI-based Framework for Cost-Effective Surgical Telementoring of Congenital Heart Disease." Communications of the ACM, 64(12), pp. 66-74, 2021.
  • [J] Mingqi Li, Dewen Zeng, Xiaowei Xu, Qiu Xie, Ruixue Xu, Yu Wang, Yiyu Shi and Hongwen Fei, "A Deep Learning Approach with Temporal Consistency for Automatic Myocardial Segmentation of Quantitative Myocardial Contrast Echocardiography," The International Journal of Cardiovascular Imaging, 7(6), pp. 1967-1978, 2021.
  • [J] Weiwen Jiang, Qiuwen Lou, Zheyu Yan, Lei Yang, Jingtong Hu, X. Sharon Hu and Yiyu Shi, "Device-Circuit-Architecture Co-Exploration for Computing-in-Memory Neural Accelerators," IEEE Transactions on Computers, vol. 70, pp. 595-605, 2021.
  • [J] Weiwen Jiang, Jinjun Xiong and Yiyu Shi, "A Co-Design Framework of Neural Networks and Quantum Circuits Towards Quantum Advantage," Nature Communications, 12, 579, 2021.
  • [J] Xiaowei Xu, Xinyi Zhang, Bei Yu, Xiaobo Sharon Hu, Christopher Rowen, Jingtong Hu and Yiyu Shi, "DAC-SDC Low Power Object Detection Challenge for UAV Applications," IEEE Transactions on Pattern Analysis and Machine Intelligence (PAMI), 42(2), pp. 392 - 403, 2021.
  • [J] Weiwen Jiang, Lei Yang, Sakyasingha Dasgupta, Jingtong Hu and Yiyu Shi, "Standing on the Shoulders of Giants: Hardware and Neural Architecture Co-Search with Hot Start," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39(11), pp. 4154-4165, 2020.
  • [J] Yawen Wu, Zhepeng Wang, Yiyu Shi and Jingtong Hu, "Enabling On-Device CNN Training by Self-Supervised Instance Filtering and Error Map Pruning," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39(11), pp. 3445 - 3457, 2020.
  • [J] Jinglan Liu, Jiaxin Zhang, Yukun Ding, Xiaowei Xu, Meng Jiang and Yiyu Shi, "Binarizing Weights Wisely for Edge Intelligence: Guide for Partial Binarization of Deconvolution-Based Generators," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39(12), pp. 4748 - 4759, 2020.
  • [J] Yukun Ding, Weiwen Jiang, Qiuwen Lou, Jinglan Liu, Jinjun Xiong, Xiaobo Sharon Hu, Xiaowei Xu, and Yiyu Shi, "Hardware design and the competency awareness of a neural network," Nature Electronics, 3, pp. pages514–523, 2020.
  • [J] Weiwen Jiang, Lei Yang, Edwin Sha, Qingfeng Zhuge, Shouzhen Gu, Sakyasingha Dasgupta, Yiyu Shi and Jingtong Hu, "Hardware/Software Co-Exploration of Neural Architectures," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, (39)12, pp. 4805 - 4815, 2020 (2021 Donald O. Pederson Best Paper Award).
  • [J] Umamaheswara Tida, Cheng Zhuo, Leibo Liu and Yiyu Shi, "Dynamic Frequency Scaling Aware Opportunistic Through - Silicon-Via Inductor Utilization in Resonant Clocking," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 39(2), pp. 281-293, 2020.
  • [J] Weiwen Jiang, Bike Xie, Chun-Chen Liu and Yiyu Shi, "Integrating Memristors and CMOS for Better AI," Nature Electronics, September 2019
  • [J] Weiwen Jiang, Edwin Sha, Xinyi Zhang, Lei Yang, Qingfeng Zhuge, Yiyu Shi and Jingtong Hu, "Achieving Super-Linear Speedup across Multi-FPGA for Real-Time DNN Inference," ACM Transactions on Embedded Computing Systems, 18(5s), pp. 1-23, 2019.
  • [J] Dawei Li, Xiaowei Xu, Weibo Liu, Li Zhang, Cheng Zhuo and Yiyu Shi, "Optimal Design of a Low-Power, Phase-Switching Modulator for Implantable Medical Applications," Integration, the VLSI Journal, 69, pp. 289-300, 2019.
  • [J] Umamaheswara Rao Tida, Rongbo Yang, Cheng Zhuo and Yiyu Shi, "Single-Inductor-Multiple-Tier-Regulation: TSV-Inductor Based On-Chip Buck Converters for 3D-IC Power Delivery," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 27(10), pp. 2305-2316, 2019.
  • [J] Xiaowei Xu, Yu Hu, Dewen Zeng, Wenyao Xu, Feng Lin, Xinwei Yao and Yiyu Shi, "MDA: A Reconfigurable Memristor-based Distance Accelerator for Time Series Mining on Data Centers", IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 38(5): 785-797 (2019).
  • [J] Cheng Zhuo, Kassan Unda, Yiyu Shi and Wei-Kai Shih, "From Layout to System: Early Stage Power Delivery and Architecture Co-Exploration," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 38(7): pp. 1291-1304, 2019
  • [J] Grace Li Zhang, Bing Li, Yiyu Shi, Jiang Hu and Ulf Schlichtmann, "EffiTest2: Efficient Delay Test and Prediction for Post-Silicon Clock Skew Configuration under Process Variations," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 38(4): pp. 705-718, 2019.
  • [J] Leibo Liu, Qiang Wang, Wenping Zhu, Huiyu Mo, Tianchen Wang, Shouyi Yin, Yiyu Shi and Shaojun Wei, " A Face Alignment Accelerator Based on Optimized Coarse-to-Fine Shape Searching," IEEE Transactions on Circuits and Systems for Video Technology, 29(8): pp. 2467-2481, 2019.
  • [J] Tianchen Wang, Sandeep Kumar Samal, Sung Kyu Lim and Yiyu Shi, "Entropy Production-Based Full-Chip Fatigue Analysis: From Theory to Mobile Applications," IEEE Transactions on CAD of Integrated Circuits and Systems, 38(1): pp. 84-95, 2019.
  • [J] Baixin Chen, Cheng Zhuo, and Yiyu Shi, "A physics-aware methodology for equivalent circuit model extraction of TSV-inductors," Integration, the VLSI Journal, 63, pp. 160-166, 2018.
  • [J] Xiaowei Xu, Qing Lu, Tianchen Wang, Yu Hu, Chen Zhuo, Jinglan Liu, Yiyu Shi, "Efficient Hardware Implementation of Cellular Neural Networks with Incremental Quantization and Early Exit," ACM Journal on Emerging Technologies in Computing Systems, 14(4), Article No. 48, 2018.
  • [J] Zhongyang Liu, Shaoheng Luo, Xiaowei Xu, Yiyu Shi and Cheng Zhuo, "A Multi-Level Optimization Framework for FPGA-Based Cellular Neural Network Implementation," ACM Journal on Emerging Technologies in Computing Systems, 14(4), Article No. 47, 2018.
  • [J] Travis E. Schulze, Daryl Beetner, Yiyu Shi, Kevin Kwiat and Charles Kamhoua, "Combating Data Leakage Trojans in Commercial and ASIC Applications with Time Division Multiplexing and Random Encoding," IEEE Transactions on Very Large Scale Integration Systems, 26(10), pp. 2007-2015, 2018.
  • [J] Xiaowei Xu, Yukun Ding, Sharon Hu, Michael Niemier, Jason Cong, Yu Hu and Yiyu Shi, "Scaling of Deep Neural Networks for Edge Inference: A Race between Data Scientists and Hardware Architects", Nature Electronics 1, pp. 216-222, 2018.
  • [J] Hsueh-Ling Yu, Yih-Lang Li, Tzu-Yi Liao, Tianchen Wang, Shu-Fei Tsai and Yiyu Shi, "Fast and Accurate Emissivity and Absolute Temperature Maps Measurement for Integrated Circuits," IEEE Transactions on Very Large Scale Integration Systems, 26(5), pp. 912-923, 2018.
  • [J] Yanan Lu, Leibo Liu, Yangdong Deng, Jian Weng, Shouyi Yin, Yiyu Shi and Shaojun Wei, "Triggered-Issue and Triggered-Execution: A Control Paradigm to Minimize Pipeline Stalls in Distributed-Controlled Coarse-Grained Reconfigurable Arrays," IEEE Transactions on Parallel and Distributed Systems, 29(10), pp.2360-2372, 2018.
  • [J] Xiaowei Xu, Feng Lin, Aosen Wang, Qing Lu, Wenyao Xu, Yiyu Shi and Yu Hu, "Accelerating Dynamic Time Warping with Memristor -based Customized Fabrics," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37(4), pp. 729-741, 2018.
  • [J] Hui Geng, Charles Kamhoua, Kevin Kwiat and Yiyu Shi, "On Random Dynamic Voltage Scaling for Internet-of-Tings: A Game-Theoretic Approach," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37(1), pp. 123-132, 2018.
  • [J] Li Zhang, Bing Li, Jinglan Liu, Yiyu Shi and Ulf Schlichtmann, "Design-Phase Buffer Allocation for Post-Silicon Clock Binning by Iterative Learning," IEEE Transactions on Computer-Aided Design of Integrated Circuits and System, 37(2), pp. 392-405, 2018.
  • [J] Yilei Li, Kirti Dhwaj, Chun-Chen Liu, Chien-Heng Wong, Yuan Du, Li Du, Yiwu Tang, Yiyu Shi, Tatsuo Itoh and Mau-Chung Frank Chang, "A Novel Fully Synthesizable All-Digital RF Transmitter for IoT Applications," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 37(1), pp. 146-158, 2018.
  • [J] Khalid Al-Jabery, Zhezhao Xu, Wenjian Yu, Donald C. Wunsch, Jinjun Xiong and Yiyu Shi, " Demand-Side Management of Domestic Electric Water Heaters Using Approximate Dynamic Programming," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36(5), pp. 775-788, 2018
  • [J] Po-Yi Wu, Wai-Kei Mak, Ting-Chi Wang, Cheng Zhuo, Kassan Unda, and Yiyu Shi, "A routing framework for technology migration with bump encroachment." Integration, the VLSI Journal, vol 58, pp. 1-8, June 2017
  • [J] Hui Geng, Jianming Liu, JInglan Liu, Pei-Wen Luo, Liang-Chia Cheng, Steven L. Grant and Yiyu Shi, "Selective body biasing for post-silicon tuning of sub-threshold designs: A semi-infinite programming approach with Incremental Hypercubic Sampling," Integration, the VLSI Journal (55), pp. 465-473, 2016
  • [J] Yu-Wei Wu, Yiyu Shi, Sudip Roy and Tsung-Yi Ho, "Obstacle-Avoiding Wind Turbine Placement for Power Loss and Wake Effect Optimization," ACM Transactions on Design Automation of Electronic Systems, 22(1), 2016
  • [J] Chang Xu, Guojie Luo, Peixin Li, Yiyu Shi and Iris Hui-Ru Jiang, "Analytical Clustering Score with Application to Post-Placement Register Clustering," ACM Transactions on Design Automation of Electronic Systems, 21(3), 2016
  • [J] Tao Wang, Chun Zhang, Jinjun Xiong, Peiwen W. Luo, Liang-Chia Cheng and Yiyu Shi, "On the Optimal Threshold Voltage Computation of On-Chip Noise Sensors," in IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 35, no. 10, pp. 1744-1754, Oct. 2016.
  • [J] Chao Zhang, Wenjian Yu, Qing Wang and Yiyu Shi, "Fast Random Walk Based Capacitance Extraction for the 3D IC Structures with Cylindrical Inter-Tier-Vias," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34(12), pp. 1977-1990, 2015.
  • [J] Yu-Guang Chen, Kuan-Yu Lai, Wan-Yu Wen, Yiyu Shi, Wing-Kai Hon and Shih-Chieh Chang, "Novel Spare TSV Deployment for 3D ICs Considering Yield and Timing Constraints," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34(4), pp. 577-588, 2015
  • [J] Hui Geng, Jianming Liu, Pei-Wen Luo, Liang-Chia Cheng, Steven L. Grant and Yiyu Shi, "Selective Body Biasing for Post-Silicon Tuning of Sub-Threshold Designs: an Adaptive Filtering Approach," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 34(5), pp. 713-725, 2015
  • [J] Jie Wu, Jinjun Xiong and Yiyu Shi, "Efficient Location Identification of Multiple Line Outages With Limited PMUs in Smart Grids," IEEE Transactions on Power Systems, (30)4, pp. 1659-1668, 2015.
  • [J] Yu Wang, Song Yao, Shuai Tao, Xiaoming Chen, Yuchun Ma, Yiyu Shi and Huazhong Yang, "HS3DPG: Hierarchical Simulation for 3D P/G Network," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 30(4), 2015.
  • [J] Umamaheswara Rao Tida, Rongbo Yang, Cheng Zhuo and Yiyu Shi, "On the Efficacy of Through-Silicon-Via Inductors," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 23(7), pp. 1322-1334, 2015.
  • [J] Umamaheswara Rao Tida, Zhuo Cheng and Yiyu Shi, "Novel Through-Silicon-Via Inductor Based On-chip DC-DC Converter Designs in 3D ICs," ACM Journal on Emerging Technologies in Computing Systems, 11(2), pp. 16:1-16:14, 2014.
  • [J] Chun Zhang, Dian Ma, Changzhi Li and Yiyu Shi, "Runtime Self-Calibrated Temperature-Stress Co-Sensor for 3D Integrated Circuits," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 22(11), pp. 2411-2417, 2014.
  • [J] Tao Wang, Chun Zhang, Jinjun Xiong and Yiyu Shi, "On the Deployment of On-Chip Noise Sensors," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33(4), pp. 519-531, 2014.
  • [J] Yu-Guang Chen, Hui Geng, Kuan-Yu Lai, Yiyu Shi and Shih-Chieh Chang, "Multi-Bit Retention Registers for Power Gated Designs: Concept, Design and Deployment," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 33(4), pp. 507-518, 2014.
  • [J] Chenye Wu, Yiyu Shi and Soummya Kar, "Explore the Demand Flexibility in Heterogeneous Aggregators: An LMP-based Pricing Scheme," ACM Transactions on Embedded Computing Systems, 3(2), 57:1--57:17, 2014.
  • [J] Fang Gong, Yiyu Shi, Hao Yu and Lei He "Variability-Aware Parametric Yield Estimation: Concepts, Algorithms and Challenges," IEEE Design & Test of Computers, PP(99), 2014.
  • [J] Chiao-Ling Lung, Yu-Shih Su, Hsih-Hsiu Huang, Yiyu Shi, and Shih-Chieh Chang, "Fault-Tolerant Clock Networks for 3D ICs," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32(7), pp. 1100-1109, 2013.
  • [J] Guojie Luo, Yiyu Shi, and Jason Cong, "An Analytical Placement Framework for 3D ICs and Its Extension on Thermal-Awareness," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32(4), pp. 510-523, 2013.
  • [J] Yiyu Shi, Jinjun Xiong, Vladimir Zolotov and Chandu Visweswariah, "Order Statistics for Correlated Random Variables and Its Application to At-Speed Testing," ACM Transactions on Design Automation of Electronic Systems, 18(3), 42:1-42:20,2013
  • [J] Minsu Choi, Jun Wu and Yiyu Shi "Measurement and Evaluation of Power Analysis Attacks on Asynchronous S-Box," IEEE Transactions on Instrumentation & Measurement, 61(10), pp. 2765-2775, Oct. 2012.
  • [J] Ming-Chao Lee, Yiyu Shi and Shih-Chieh Chang, "Efficient Wakeup Scheduling Considering Both Resource Usage and Timing Budget for Power Gating Designs," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31(7), pp. 1041-1049, July 2012.
  • [J] Wei Yao, Yiyu Shi, Lei He and Sudhakar Pamarti, "Worst-Case Estimation for Data-Dependent Timing Jitter and Amplitude Noise in High-Speed Differential Link," IEEE Transactions on Very Large Scale Integration Systems, 20(1), pp. 89-97, Jan. 2012
  • [J] Lei He, Shauki Elassaad, Yiyu Shi, Yu Hu and Wei Yao, "System-in-package: Electrical and Layout Perspectives," Foundations and Trends in Electronic Design Automation, 4(4), pp. 223-306, June, 2011.
  • [J] Yiyu Shi, Jinjun Xiong, Howard Chen and Lei He, "Runtime Resonance Noise Reduction with Current Prediction Enabled Frequency Actuator," IEEE Transactions on Very Large Scale Integration Systems, 19(3), pp. 508-512, March 2011.
  • [J] Hao Yu, Chunta Chu, Yiyu Shi, David Smart, Lei He and Sheldon. X.-D. Tan, "Fast Analysis of Large Scale Inductive Interconnect by Block Structure Preserved Macromodeling," IEEE Transactions on Very Large Scale Integration Systems, 18(1), pp. 1399-1411, Oct 2010.
  • [J] Yiyu Shi and Lei He, "EMPIRE: An Efficient and Compact Multiple-Parameterized Model Order Reduction Method for Physical Optimization," IEEE Transactions on Very Large Scale Integration Systems, 18(1), pp. 108-118, 2010.
  • [J] Yiyu Shi, Jinjun Xiong, Chunchen Liu and and Lei He, "Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 27(7), pp. 1253-1263, July, 2008.
  • [J] Hao Yu, Yiyu Shi and Lei He, " Thermal Via Allocation for 3-D ICs Considering Temporally and Spatially Variant Thermal Power," IEEE Transactions on Very Large Scale Integration Systems, 16(12), pp. 1609-1619, 2008
  • [J] Yiyu Shi, Paul Mesa, Hao Yu and Lei He, "Circuit Simulated Obstacle aware Steiner Routing," ACM Transactions on Design Automation of Electronic Systems, 12(3), Aug. 2007.
  • [J] Yiyu Shi, Bike Xie, and Yanjie Mao, "Circuit Simulation Method in Mathematical Modeling," Chinese Journal of Engineering Mathematics, 21(7), pp. 43-48, 2004.



REFEREED CONFERENCE PAPERS

2024

  • [C] Zhiding Liang, Gang Liu, Zheyuan Liu, Jinglei Cheng, Tianyi Hao, Kecheng Liu, Hang Ren, Zhixin Song, Ji Liu, Fanny Ye, Yiyu Shi, "Graph Learning for Parameter Prediction of Quantum Approximate Optimization Algorithm," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2024 (Invited Paper)
  • [C] Zhiding Liang, Zhixin Song, Jinglei Cheng, Hang Ren, Tianyi Hao, Rui Yang, Yiyu Shi, and Tongyang Li, "SpacePulse: Combining Parameterized Pulses and Contextual Subspace for More Practical VQE," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2024 (acceptance rate 21.8%)
  • [C] Ruiyang Qin, Jun Xia, Zhenge Jia, Meng Jiang, Ahmed Abbasi, Peipei Zhou, Jingtong Hu, and Yiyu Shi "Enabling On-Device Large Language Model Personalization with Self-Supervised Data Selection and Synthesis," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2024 (acceptance rate 21.8%).
  • [C] Jinming Zhuang, Zhuoping Yang, Shixin Ji, Heng Huang, Alex K. Jones, Jingtong Hu, Yiyu Shi, Peipei Zhou, "SSR: Spatial Sequential Hybrid Architecture for Latency Throughput Tradeoff Design Space Exploration," ACM/SIGDA International Symposium on Field Programmable Gate Arrays (FPGA), 2024 (acceptance rate 26.9%).
  • [C] Ruiyang Qin, Yuting Hu, Zheyu Yan, Jinjun Xiong, Ahmed Abbasi, and Yiyu Shi, "Towards Fairness of Neural Architecture Search via LLMs," in Proc. of the Asia and South Pacific Design Automation Conference (ASP-DAC), 2024 (invited paper).

2023

  • [C] Peipei Zhou, Jinming Zhuang, Stephen Cahoon, Yue Tang, Zhuoping Yang, Xingzhen Chen, Yiyu Shi, Jingtong Hu, Alex K. Jones, "REFRESH FPGAs: Sustainable FPGA Chiplet Architectures," in Proc. of IEEE International Green and Sustainable Computing (IGSC) Conference, 2023.
  • [C] Limin Zheng, Bo Meng, Hongyu Cheng, Yiyu Shi, Qing Lu , Jian Zhuang, Xiaowei Xu, "Automatic Segmentation of Aortic and Mitral Valves for Heart Surgery Planning of Hypertrophic Obstructive Cardiomyopathy, " in Proc. of Asian Conference on Machine Learning (ACML), 2023.
  • [C] Kaikai Liu, Yiyu Shi, Jian Zhuang, Meiping Huang, Hongwen Fei, Boyang Li, Jin Hong, Qing Lu, Erlei Zhang, and Xiaowei Xu, "Enhance Regional Wall Segmentation by Style Transfer for Regional Wall Motion Assessment," in Proc. of British Machine Vision Conference (BMVC), 2023.
  • [C] Xinrong Hu, Corey Wang and Yiyu Shi, "Contrastive Image Synthesis and Self-supervised Feature Adaptation for Cross-Modality Biomedical Image Segmentation," International Conference on Computer Vision (ICCV) Workshop on Computer Vision for Automated Medical Diagnosis, 2023.
  • [C] Zheyu Yan, Yifan Qin, Wujie Wen, X. Sharon Hu and Yiyu Shi, "Improving Realistic Worst-Case Performance of NVCiM DNN Accelerators through Training with Right-Censored Gaussian Noise," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023.
  • [C] Xuan Wang, Zheyu Yan, Chang Meng, Yiyu Shi and Weikang Qian, "DASALS: Differentiable Architecture Search-driven Approximate Logic Synthesis," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2023.
  • [C] Zheyu Yan, Yifan Qin, Xiaobo Sharon Hu, and Yiyu Shi, "On the Viability of Using LLMs for SW/HW Co-Design: An Example in Designing CiM DNN Accelerators," in Proc. of IEEE International System-on-Chip Conference (SOCC), 2023 (invited paper).
  • [C] Zhiding Liang and Hanrui Wang, "QuCS: A Lecture Series on Quantum Computer Software and System," In Proc. of IEEE International Conference on Quantum Computing and Engineering (QCE), 2023.
  • [C] Yu-Jen Chen, Xinrong Hu, Yiyu Shi and Tsung-Yi Ho, "AME-CAM: Attentive Multiple-Exit CAM for Weakly Supervised Segmentation on MRI Brain Tumor," In Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), 2023.
  • [C] Ching-Hao Chiu, Hao-Wei Chung, Yu-Jen Chen, Yiyu Shi and Tsung-Yi Ho, "Toward Fairness Through Fair Multi-Exit Framework for Dermatological Disease Diagnosis," In Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), 2023.
  • [C] Xueyang Li, Hai Xiao, Weixiang Weng, Xiaowei Xu and Yiyu Shi, "MPBD-LSTM: A Predictive Model For Colorectal Liver Metastases Using Time Series Multi-phase Contrast-Enhanced CT Scans," In Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), 2023.
  • [C] Xinrong Hu, Yu-Jen Chen, Tsung-Yi Ho and Yiyu Shi, "Conditional Diffusion Models for Weakly Supervised Medical Image Segmentation," In Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), 2023 (early accept, acceptance rate 14%).
  • [C] Dewen Zeng, Yawen Wu, Xinrong Hu, Xiaowei Xu, Jingtong Hu and Yiyu Shi, "Additional Positive Enables Better Representation Learning for Medical Images," In Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), 2023 (early accept, acceptance rate 14%).
  • [C] Zhiding Liang, Zhixin Song, Jinglei Cheng, Zichang He, Ji Liu, Hanrui Wang, Ruiyang Qin, Yiru Wang, Song Han, Xuehai Qian and Yiyu Shi, "Hybrid Gate-Pulse Model for Variational Quantum Algorithms," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2023.
  • [C] Xuan Wang, Sijun Tao, Jingjing Zhu, Yiyu Shi and Weikang Qian, "AccALS: Accelerating Approximate Logic Synthesis by Selection of Multiple Local Approximate Changes," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2023.
  • [C] Yi Sheng, Junhuan Yang, Lei Yang, Yiyu Shi, Jingtong Hu and Weiwen Jiang, "Muffin: A Framework Toward Multi-Dimension AI Fairness by Uniting Off-the-Shelf Models," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2023.
  • [C] Ching-Hao Chiu, Hao-Wei Chung, Yu-Jen Chen, Yiyu Shi and Tsung-Yi Ho, "Fair Multi-Exit Framework for Facial Attribute Classification," in Proc. of AAAI Workshop on Artificial Intelligence for Social Good, 2023.
  • [C] Yawen Wu, Zhepeng Wang, Dewen Zeng, Yiyu Shi, and Jingtong Hu, "Synthetic Data Can Also Teach: Synthesizing Effective Data for Unsupervised Visual Representation Learning," in Proc. of AAAI Conference on Artificial Intelligence, WA, 2023 (Acceptance rate: 20%).
  • [C] Qing Lu, Weiwen Jiang, Xiaowei Xu, Jingtong Hu, and Yiyu Shi, "Quantization Through Search: A Novel Scheme to Quantize Convolutional Neural Networks in Finite Weight Space," in Proc. of the Asia and South Pacific Design Automation Conference (ASP-DAC), 2023

2022

  • [C] Matheus Araujo, Dewen Zeng, Joao Palotti, Xirong Hu, Yiyu Shi, Lee Pyles, and Quan Ni, "Maiby's Algorithm: A Two-Stage Deep Learning Approach for Murmur Detection in Mel Spectrograms for Automatic Auscultation of Congenital Heart Disease," Computing in Cardiology (CinC), Finland, 2022.
  • [C] Yejia Zhang, Xinrong Hu, Nishchal Sapkota, Yiyu Shi, and Danny Chen, "Unsupervised Feature Clustering Improves Contrastive Representation Learning for Medical Image Segmentation," in Proc. of IEEE International Conference on Bioinformatics and Biomedicine (BIBM) 2022 (Acceptance rate: 20%).
  • [C] Qing Lu, Zhenge Jia, Jingtong Hu and Yiyu Shi, "ICD-BAS: Detecting Ventricular Arrhythmia using Binary Architecture Search for Implantable Cardioverter Defibrillators," in Proc. of IEEE/ACM international conference on Connected Health: Applications, Systems and Engineering Technologies (CHASE), 2022 (invited paper)
  • [C] Hanrui Wang, Zhiding Liang, Jiaqi Gu, Zirui Li, Yongshan Ding, Weiwen Jiang, Yiyu Shi, David Z. Pan, Frederic T. Chong and Song Han, "TorchQuantum Case Study for Robust Quantum Circuits," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022 (invited paper)
  • [C] Zheyu Yan, X. Sharon Hu and Yiyu Shi, "Computing-In-Memory Neural Network Accelerators for Safety-Critical Systems: Can Small Device Variations Be Disastrous?," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2022 (acceptance rate 22%).
  • [C] Zhiding Liang, Hanrui Wang, Jinglei Cheng, Yongshan Ding, Hang Ren, Zhengqi Gao, Zhirui Hu, Duane Boning, Xuehai Qian, Song Han, Weiwen Jiang and Yiyu Shi, "Variational Quantum Pulse Learning," in Proc. of IEEE International Conference on Quantum Computing and Engineering (QCE), 2022
  • [C] Qing Lu, Weiwen Jiang, Meng Jiang, Jingtong Hu and Yiyu Shi, "Hardware/Software Co-Exploration for Graph Neural Architectures on FPGAs," in Proc. of IEEE Computer Society Annual Symposium on VLSI, Pafos, Cyprus, July 2022 (Invited Paper)
  • [C] Qing Lu, Xiaowei Xu, Shunjie Dong, Callie Hao, Lei Yang, Cheng Zhuo, and Yiyu Shi, "RT-DNAS: Real-time Constrained Differentiable Neural Architecture Search for 3D Cardiac Cine MRI Segmentation," In Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), 2022
  • [C] Yawen Wu*, Dewen Zeng* (equal contribution), Xiaowei Xu, Yiyu Shi and Jingtong Hu. “FairPrune: Achieving Fairness Through Pruning for Dermatological Disease Diagnosis," In Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), 2022 (early accept, acceptance rate 13%)
  • [C] Yawen Wu, Zhepeng Wang, Dewen Zeng, Meng Li, Yiyu Shi and Jingtong Hu, "Decentralized Unsupervised Learning of Visual Representations," International Joint Conference on Artificial Intelligence (IJCAI), 2022 (acceptance rate 15%)
  • [C] Zheyu Yan, X. Sharon Hu and Yiyu Shi, "SWIM: Selective Write-Verify for Computing-in-Memory Neural Accelerator," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2022 (acceptance rate 22.6%)
  • [C] Yi Sheng, Junhuan Yang, Yawen Wu, Kevin Mao, Yiyu Shi, Jingtong Hu, Weiwen Jiang and Lei Yang, "The Larger The Fairer? Small Neural Networks Can Achieve Fairness for Edge Devices," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2022 (acceptance rate 22.6%)
  • [C] Bingqian Lu, Jianyi Yang, Weiwen Jiang, Yiyu Shi and Shaolei Ren, "One Proxy Device Is Enough for Hardware-Aware Neural Architecture Search," in Proc. of ACM SIGMETRICS/Performance, 2022
  • [C] Zheyu Yan, Weiwen Jiang, Xiaobo Sharon Hu, Yiyu Shi , "RADARS: Memory Efficient Reinforcement Learning Aided Differentiable Neural Architecture Search," in Proc. of the Asia and South Pacific Design Automation Conference (ASP-DAC), 2022

2021

  • [C] Yu-Jen Chen, Yen-Jung Chang, Shao-Cheng Wen, Xiaowei Xu, Tsung-Yi Ho, Meiping Huang, Haiyun Yuan, Jian Zhuang, Yiyu Shi and Tsung-Yi Ho, "One-Shot Reduction of Additive Artifacts in Medical Images," in Proc. of IEEE International Conference on Bioinformatics and Biomedicine (BIBM) 2021 (Acceptance rate: 19%)
  • [C] Zhiding Liang, Zhepeng Wang, Jinjun Xiong, Yiyu Sh, Weiwen Jiang, “Can Noise on Qubits Be Learned in Quantum Neural Network? A Case Study on QuantumFlow," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 (Invited paper).
  • [C] Zhepeng Wang, Zhiding Liang, Shanglin Zhou, Caiwen Ding, Jinjun Xiong, Yiyu Shi, Weiwen Jiang, "Exploration of Quantum Neural Architecture by Mixing Quantum Neuron Designs," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 (Invited paper).
  • [C] Yawen Wu, Dewen Zeng, Zhepang Wang, Yi Sheng, Lei Yang, and Alaina J James, Yiyu Shi, Jingtong Hu, "Federated Contrastive Learning for Dermatological Disease Diagnosis via On-device Learning," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 (Invited paper).
  • [C] Dewen Zeng, John N Kheir, Peng Zeng and Yiyu Shi, "Contrastive Learning with Temporal Correlated Medical Images: A Case Study using Lung Segmentation in Chest X-Rays," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2021 (Invited paper).
  • [C] Zhenge Jia, Yiyu Shi, Samir Saba and Jingtong Hu, "On-Device Prior Knowledge Incorporated Learning for Personalized Atrial Fibrillation Detection," in Proc. of International Conference on Compilers, Architectures, and Synthesis for Embedded Systems (CASES), 2021
  • [C] Tianchen Wang, Zhihe Li, Meiping Huang, Jian Zhuang, Shanshan Bi, Jiawei Zhang, Xiaowei Xu, Hongwen Fei and Yiyu Shi, "EchoCP: An Echocardiography Dataset in Contrast Transthoracic Echocardiography for Patent Foramen Ovale Diagnosis," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Strasburg, France, 2021 (early accept, acceptance rate 13%)
  • [C] Yukun Ding, Dewen Zeng, Mingqi Li, Hongwen Fei, Haiyun Yuan, Meiping Huang, Jian Zhuang and Yiyu Shi, "Towards Efficient Human-Machine Collaboration: Real-Time Correction Effort Prediction for Ultrasound Data Acquisition," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Strasburg, France, 2021 (early accept, acceptance rate 13%)
  • [C] Dewen Zeng, Yawen Wu, Xinrong Hu, Xiaowei Xu, Haiyun Yuan, Meiping Hunag, Jian Zhuang, Jingtong Hu and Yiyu Shi, "Positional Contrastive Learning for Volumetric Medical Image Segmentation," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Strasburg, France, 2021 (early accept, acceptance rate 13%)
  • [C] Xinrong Hu, Dewen Zeng, Xiaowei Xu and Yiyu Shi, "Semi-supervised Contrastive Learning for Label-efficient Medical Image Segmentation," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Strasburg, France, 2021 (early accept, acceptance rate 13%)
  • [C] Yawen Wu, Dewen Zeng, Zhepeng Wang, Yiyu Shi and Jingtong Hu, "Federated Contrastive Learning for Volumetric Medical Image Segmentation," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Strasburg, France, 2021 (early accept, acceptance rate 13%)
  • [C] Zhenge Jia, Zhepeng Wang, Feng Hong, Lichuan Ping, Yiyu Shi and Jingtong Hu, "Learning to Learn Personalized Neural Network for Ventricular Arrhythmias Detection on Intracardiac EGMs," International Joint Conference on Artificial Intelligence (IJCAI), 2021 (acceptance rate 13.9%).
  • [C] Boyang Li, Qing Lu, Weiwen Jiang, Taeho Jung and Yiyu Shi, "A Mining Pool Solution for Novel Proof-of-Neural-Architecture Consensus," IEEE International Conference on Blockchain and Cryptocurrency, Australia (ICBC), 2021.
  • [C] Dewen Zeng, Yukun Ding, Xiaowei Xu, Haiyun Yuan, Hongwen Fei, Meiping Huang, Jian Zhuang, Jingtong Hu and Yiyu Shi, "Hardware-aware Real-time Myocardial Segmentation Quality Control in Contrast Echocardiography," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2021 (Invited paper).
  • [C] Yuhong Song, Weiwen Jiang, Bingbing Li, Panjie Qi, Qingfeng Zhuge, Edwin H. M. Sha, Sakyasingha Dasgupta, Yiyu Shi and Caiwen Ding, "Dancing along Battery: Enabling Transformer with Run-time Reconfigurability on Mobile Devices," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2021.
  • [C] Yawen Wu, Zhepeng Wang, Dewen Zeng, Yiyu Shi and Jingtong Hu, "Enabling On-Device Self-supervised Contrastive Learning With Selective Data Contrast,” in Proc. of IEEE/ACM Design Automation Conference (DAC), 2021.
  • [C] Zhenge Jia, Feng Hong, Lichuan Ping, Yiyu Shi and Jingtong Hu, "Enabling On-Device Model Personalization for Ventricular Arrhythmias Detection by Generative Adversarial Networks," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2021.
  • [C] Dewen Zeng, Mingqi Li, Yukun Ding, Xiaowei Xu, Qiu Xie, Ruixue Xu, Hongwen Fei, Meiping Huang, Jian Zhuang and Yiyu Shi, "Segmentation with Multiple Acceptable Annotations: A Case Study of Myocardial Segmentation in Contrast Echocardiography," in Proc. of Information Processing in Medical Imaging (IPMI), 2021.
  • [C] Yu-Jen Chen, Cheng-Yen Tsai, Xiaowei Xu, Yiyu Shi, Tsung-Yi Ho, Meiping Huang, Haiyun Yuan, Jian Zhuang, "CT Image Denoising with Encoder-Decoder Based Graph Convolutional Networks," in Proc. of IEEE International Symposium on Biomedical Imaging (ISBI), 2021.
  • [C] Weiwen Jiang, Jinjun Xiong and Yiyu Shi, "When Machine Learning Meets Quantum Computers: A Case Study," in Proc. of IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2021 (Invited Paper)
  • [C] Zhepeng Wang, Yawen Wu, Zhenge Jia, Yiyu Shi, Jingtong Hu, "Lightweight Run-Time Working Memory Compression for Deployment of Deep Neural Networks on Resource-Constrained MCUs," in Proc. of IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), pp. 607 - 614, 2021 (Invited Paper)
  • [C] Grace Li Zhang, Bing Li, Ying Zhu, Tianchen Wang, Yiyu Shi, Xunzhao Yin, Cheng Zhuo, Huaxi Gu, Tsung-Yi Ho, Ulf Schlichtmann, "Robustness of Neuromorphic Computing with RRAM-based Crossbars and Optical Neural Networks," in Proc. of IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC), 2021 (Invited Paper)
  • [C] Zheyu Yan, Da-Cheng Juan, X. Sharon Hu and Yiyu Shi, "Uncertainty Aware Architecture Search for Emerging Device based Computing-in-Memory Neural Accelerators," in Proc. of the Asia and South Pacific Design Automation Conference (ASP-DAC), 2021 (Invited Paper)

2020

  • [C] Shao-Cheng Wen, Yu-Jen Chen, Zihao Liu, Wujie Wen, Xiaowei Xu, Yiyu Shi, Tsung-Yi Ho, Meiping Huang, Haiyun Yuan, and Jian Zhuang, "Do noises bother human and neural networks in the same way? A medical image analysis perspective", in Proc. of International Conference on Bioinformatics and Biomedicine (BIBM), 2020.
  • [C] Dewen Zeng, Weiwen Jiang, Tianchen Wang, Xiaowei Xu, Haiyun Yuan, Meiping Huang, Jian Zhuang, Jingtong Hu and Yiyu Shi, "Towards Cardiac Intervention Assistance: Hardware-Aware Neural Architecture Exploration for Real-Time 3D Cardiac Cine MRI Segmentation," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020 (Invited Paper)
  • [C] Zhenge Jia, Zhepeng Wang, Feng Hong, Lichuan Ping, Yiyu Shi and Jingtong Hu, "Personalized Deep Learning for Ventricular Arrhythmias Detection on Medical IoT Systems," in Proc. of IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2020 (Invited Paper)
  • [C] Weiwen Jiang, Lei Yang, Sakyasingha Dasgupta, Jingtong Hu and Yiyu Shi, "Standing on the Shoulders of Giants: Hardware and Neural Architecture Co-Search with Hot Start," in Proc. of International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2020
  • [C] Yawen Wu, Zhepeng Wang, Yiyu Shi and Jingtong Hu, "Enabling On-Device CNN Training by Self-Supervised Instance Filtering and Error Map Pruning," in Proc. of International Conference on Compilers, Architecture, and Synthesis of Embedded Systems (CASES), 2020
  • [C] Xinrong Hu, Zeyang Yao, Furong Liu, Wen Xie, Hailong Qiu, Yuhao Dong, Qianjun Jia, Meiping Huang, Jian Zhuang, Xiaowei Xu and Yiyu Shi, "Joint Clinical Data and CT Image based Prognosis: A Case Study on Postoperative Pulmonary Venous Obstruction Prediction," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI) Workshop on Predictive Intelligence in Medicine (PRIME), 2020
  • [C] Xiaowei Xu, Tianchen Wang, Jian Zhuang, Haiyun Yuan, Meiping Huang, Qianjun Jia, Jianzheng Cen, Yuhao Dong and Yiyu Shi, "ImageCHD: A 3D Computed Tomography Image Dataset for Classification of Congenital Heart Disease," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Lima, Peru, 2020 (Early accept, acceptance rate 25%)
  • [C] Tianchen Wang, Jinjun Xiong, Xiaowei Xu, Qianjun Jia, Haiyun Yuan, Meiping Huang, Jian Zhuang and Yiyu Shi, "ICA-UNet: ICA Inspired Statistical UNet for Real-time 3D Cardiac Cine MRI Segmentation," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Lima, Peru, 2020 (Early accept, acceptance rate 25%)
  • [C] Zihao Liu, Sicheng Li, Yen-Kuang Chen, Tao Liu, Qi Liu, Xiaowei Xu, Yiyu Shi and Wujie Wen, "Orchestrating Medical Image Compression and Remote Segmentation Networks," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Lima, Peru, 2020 (Early accept, acceptance rate 25%, Nominated for MICCAI Society Young Scientist Award)
  • [C] Qi Liu, Han Jiang, Tao Liu, Zihao Liu, Sicheng Li, Wujie Wen and Yiyu Shi, "Defending Deep Learning-based Biomedical Image Segmentation from Adversarial Attacks: A Low-cost Frequency Refinement Approach," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Lima, Peru, 2020 (Early accept, acceptance rate 25%)
  • [C] Shunjie Dong, Jinlong Zhao, Maojun Zhang, Zhengxue Shi, Jianing Deng, Yiyu Shi and Cheng Zhuo, "DeU-Net: Deformable U-Net for 3D Cardiac MRI Video Segmentation," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Lima, Peru, 2020 (acceptance rate 30%)
  • [C] Song Bian, Xiaowei Xu, Weiwen Jiang, Yiyu Shi and Takashi Sato, "BUNET: Blind Medical Image Segmentation Based on Secure UNET," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Lima, Peru, 2020 (acceptance rate 30%)
  • [C] Xingang Yan, Weiwen Jiang, Yiyu Shi and Cheng Zhuo, "MS-NAS: Multi-Scale Neural Architecture Search for Medical Image Segmentation," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Lima, Peru, 2020 (acceptance rate 30%)
  • [C] Yukun Ding, Jinglan Liu, Xiaowei Xu, Meiping Huang, Jian Zhuang, Jinjun Xiong, and Yiyu Shi, "Uncertainty-Aware Training of Neural Networks for Selective Medical Image Segmentation," in Proc. Of Medical imaging with Deep Learning (MIDL), Montreal, Canada, 2020. (oral presentation, acceptance rate: 12%)
  • [C] Grace Li Zhang, Bing Li, Ying Zhu, Shuhang Zhang, Tianchen Wang, Yiyu Shi, Tsung-Yi Ho, Hai Helen Li, Ulf Schlichtmann, "Reliable and Robust RRAM-based Neuromorphic Computing," in Proc. of the ACM Great Lakes Symposium on VLSI (GLVLSI), Beijing, China, 2020 (invited paper)
  • [C] Song Bian, Tianchen Wang, Masayuki Hiromoto, Yiyu Shi, and Takashi Sato, "ENSEI: Efficient Secure Inference via Frequency-Domain Homomorphic Convolution for Privacy-Preserving Visual Recognition," in Proc. of IEEE Conference on Computer Vision and Pattern Recognition (CVPR), Seattle, WA, 2020. (acceptance rate 22%)
  • [C] Yukun Ding, Jinglan Liu, Jinjun Xiong and Yiyu Shi, "Revisiting the Evaluation of Uncertainty Estimation and Its Application to Explore Model Complexity-Uncertainty Trade-Off," in Proc. of IEEE Conference on Computer Vision and Pattern Recognition (CVPR) Workshops, Seattle, WA, 2020.
  • [C] Yawen Wu, Zhepeng Wang, Zhenge Jia, Yiyu Shi and Jingtong Hu, "Intermittent Inference with Nonuniformly Compressed Multi-Exit Neural Network for Energy Harvesting Powered Devices," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2020. (acceptance rate 23%)
  • [C] Lei Yang, Zheyu Yan, Meng Li, Hyoukjun Kwon, Liangzhen Lai, Tushar Krishna, Vikas Chandra, Weiwen Jiang, Yiyu Shi "Co-Exploration of Neural Architectures and Heterogeneous ASIC Accelerator Designs Targeting Multiple Tasks," in Proc. of IEEE/ACM Design Automation Conference (DAC), 2020. (acceptance rate 23%)
  • [C] Song Bian, Weiwen Jiang, Qing Lu, Yiyu Shi and Takashi Sato, "NASS: Optimizing Secure Inference via Neural Architecture Search," European Conference on Artificial Intelligence (ECAI), Santiago, Spain, 2020. (acceptance rate 27%)
  • [C] Yu-Jen Chen, Yen-Jeng Chang, Shao-Cheng Wen, Xiaowei Xu, Tsung-Yi Ho, Qianjun Jia, Meiping Huang, Jian Zhuang, Yiyu Shi,"Zero-Shot Medical Image Artifact Reduction," IEEE International Symposium on Biomedical Imaging (ISBI), Iowa city, 2020.
  • [C] Jinglan Liu, Yukun Ding, Jinjun Xiong, Qianjun Jia, Meiping Huang, Jian Zhuang, Bike Xie, Chun-Chen Liu, Yiyu Shi, "Multi-Cycle-Consistent Adversarial Networks for CT Image Denoising," IEEE International Symposium on Biomedical Imaging (ISBI), Iowa city, 2020. (oral presentation, acceptance rate 15%)
  • [C] Ying Zhu, Li Zhang, Tianchen Wang, Bing Li, Yiyu Shi, Tsung-Yi Ho, and Ulf Schlichtmann, "Statistical Training for Neuromorphic Computing using Memristor-based Crossbars Considering Process Variations and Noises," in Proc. of Design, Automation & Test in Europe, Switzerland, 2020.
  • [C] Zheyu Yan, Yiyu Shi, Wang Liao, Masanori Hashimoto, Xichuan Zhou, Cheng Zhuo, "When Single Event Upset Meets Deep Neural Networks: Observations, Explorations, and Remedies" in Proc. of the Asia and South Pacific Design Automation Conference (ASP-DAC), Beijing, China, 2020
  • [C] Lei Yang, Weiwen Jiang, Weichen Liu, Edwin Sha, Yiyu Shi, Jingtong Hu, "Co-Exploring Neural Architecture and Network-on-Chip Design for Real-Time Artificial Intelligence" in Proc. of the Asia and South Pacific Design Automation Conference (ASP-DAC), Beijing, China, 2020 (Nominated for Best Paper Award).

2019

  • [C] Qingkai Zeng, Mengxia Yu, Wenhao Yu, Jinjun Xiong, Yiyu Shi, Meng Jiang, "Faceted Hierarchy: A New Graph Type to Organize Scientific Concepts and a Construction Method", in Proc. of the Workshop on Graph-Based Natural Language Processing (TextGraphs), Hong Kong, 2019.
  • [C] Xiaowei Xu, Tianchen Wang, Yiyu Shi, Haiyun Yuan, Qianjun Jia, Meiping Huang, and Jian Zhuang, "Whole-Heart and Great Vessel Segmentation in Congenital Heart Disease using Deep Neural Networks and Graph Matching," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Shenzhen, China, 2019 (acceptance rate 31%).
  • [C] Tianchen Wang, Jinjun Xiong, Xiaowei Xu, Meng Jiang, Yiyu Shi, Haiyun Yuan, Meiping Huang, and Jian Zhuang, "MSU-Net: Multiscale Statistical U-Net for Real-time 3D Cardiac MRI Video Segmentation," in Proc. of Medical Image Computing and Computer Assisted Interventions (MICCAI), Shenzhen, China, 2019 (acceptance rate 31%).
  • [C] Weiwen Jiang, Edwin Sha, Xinyi Zhang, Lei Yang, Qingfeng Zhuge, Yiyu Shi and Jingtong Hu, "Achieving Super-Linear Speedup across Multi-FPGA for Real-Time DNN Inference," IEEE/ACM International Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), New York, NY, 2019 (Nominated for Best Paper Award) (acceptance rate 29%).
  • [C] Xiaowei Xu, Meiping Huang, Qianjun Jia, Hailong Qiu, Haiyun Yuan, Yuhao Dong, Jian Zhuang and Yiyu Shi, "Accurate Congenital Heart Disease Model Generation for 3D Printing," in Proc. of IEEE International Workshop in Signal Processing Systems, Nanjing, China, 2019. (Invited Paper)
  • [C] Qing Lu, Weiwen Jiang, Jingtong Hu and Yiyu Shi, "On Neural Architecture Search for Resource-Constrained Hardware Platforms," in Proc. of IEEE/ACM International Conference On Computer-Aided Design, Westminster, CO, Nov. 2019. (Invited Paper)
  • [C] Tianhao Shen, Di Gao, Yiyu Shi and Cheng Zhuo, "Power Delivery Resonant Virus: Concept and Application," in Proc. of ACM/IEEE International Symposium on Low Power Electronics and Design, Lausanne, Switzerland, July 2019
  • [C] Xinyi Zhang, Weiwen Jiang, Yiyu Shi and Jingtong Hu, "When Neural Architecture Search Meets Hardware Implementation: from Hardware Awareness to Co-Design," in Proc. of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), Miami, FL, Aug. 2019. (Invited Paper)
  • [C] Yuan Gong, Boyang Li, Christian Poellabauer and Yiyu Shi, "Real-Time Adversarial Attacks," in Proc. of International Joint Conference on Artificial Intelligence (IJCAI), Macao, China, 2019 (acceptance rate 18%).
  • [C] Boyang Li, Jie Wu and Yiyu Shi, "Privacy-Aware Cost-Effective Scheduling Considering Non-Schedulable Appliances in Smart Home," in Proc. of IEEE International Conference on Embedded Software and Systems, Las Vegas, NV, 2019
  • [C] Boyang Li, Changhao Chenli, Xiaowei Xu, Taeho Jung and Yiyu Shi, "Exploiting Computation Power of Blockchain for Biomedical Image Segmentation," in Proc. of IEEE Conference on Computer Vision and Pattern Recognition (CVPR) Workshops, Long Beach, CA, 2019. (Best Student Paper Award)
  • [C] Zihao Liu, Xiaowei Xu, Tao Liu, QI Liu, Yanzhi Wang, Yiyu Shi, Wujie Wen, Meiping Huang, Haiyan Yuan and Jian Zhuang, "Machine Vision Guided 3D Medical Image Compression for Efficient Transmission and Accurate Segmentation in the Clouds," in Proc. of IEEE Conference on Computer Vision and Pattern Recognition (CVPR), Long Beach, CA, 2019 (acceptance rate 25%).
  • [C] Changhao Chenli, Boyang Li, Yiyu Shi and Taeho Jung, "Energy-recycling Blockchain with Proof-of-Deep-Learning," in Proc. of International Conference on Blockchain and Cryptocurrency, Seoul, South Korea, 2019 .
  • [C] Weiwen Jiang, Xinyi Zhang, Edwin H.-M. Sha, Qingfeng Zhuge, Lei Yang, Yiyu Shi and Jingtong Hu, "Accuracy vs. Efficiency: Achieving Both through FPGA-Implementation Aware Neural Architecture Search," in Proc. of IEEE/ACM Design Automation Conference, 2019 (Nominated for Best Paper Award) (acceptance rate 25%)
  • [C] Xueying Wang, Haiqiao Zhang, Qi Li, Yiyu Shi and Meng Jiang, "A Novel Unsupervised Approach for Precise Temporal Slot Filling from Incomplete and Noisy Temporal Contexts," the Web Conference (WWW), San Francisco, CA, 2019 (acceptance rate 20%).
  • [C] Yukun Ding, Jinglan Liu, Jinjun Xiong and Yiyu Shi, "On the Universal Approximability and Complexity Bounds of Quantized ReLU Neural Networks," International Conference on Learning Representations (ICLR), New Orleans, LA, 2019 (acceptance rate 31%).
  • [C] Tianchen Wang, Jinjun Xiong, XIaowei Xu and Yiyu Shi, "SCNN: A General Distribution based Statistical Convolutional Neural Network with Application to Video Object Detection," AAAI Conference on Artificial Intelligence (AAAI), Honolulu, HI, 2019 (acceptance rate 16%).

2018 and before

  • [C] Baixin Chen, Umamaheswara Rao Tida, Cheng Zhuo and Yiyu Shi, "Modeling and optimization of magnetic core TSV-inductor for on-chip DC-DC converter," in Proc. of IEEE/ACM International Conference On Computer-Aided Design, San Diego, CA, 2018 (acceptance rate 25%).
  • [C] Yukun Ding, Jinglan Liu, Jinjun Xiong, Meng Jiang and Yiyu Shi, "Optimizing Boiler Control in Real-Time with Machine Learning for Sustainability", ACM International Conference on Information and Knowledge Management (CIKM'18), Turin, Italy, 2018 (acceptance rate 26%)
  • [C] Xiaowei Xu, Qing Lu, Lin Yang, Sharon Hu, Danny Chen, Yiyu Shi, "Quantization of Fully Convolutional Networks for Accurate Biomedical Image Segmentation," in Proc. of IEEE Conference on Computer Vision and Pattern Recognition (CVPR), Salt lake city, Utah, 2018 (acceptance rate 29.6%)
  • [C] Xiaowei Xu, Tianchen Wang, Qing Lu, Yiyu Shi, "Resource Constrained Cellular Neural Networks for Real-time Obstacle Detection using FPGAs," in Proc. of International Symposium on Quality Electronic Design (ISQED), pp. 437-440, 2018 (Invited Paper)
  • [C] Travis E. Schulze, Kevin Kwiat, Charles Kamhoua,, Daryl Beetner, Laurent Njilla, and Yiyu Shi, "Combating Data Leakage Trojans in Sequential Circuits Through Randomized Encoding," in Proc. of IEEE International Conference on Dependable, Autonomic and Secure Computing, 2017.
  • [C] Xiaowei Xu, Qing Lu, Tianchen Wang, Jinglan Liu, Cheng Zhuo, Sharon Hu, Yiyu Shi, "Empowering Mobile Telemedicine with Compressed Cellular Neural Networks," in Proc. of IEEE/ACM 2017 International Conference On Computer-Aided Design, CA, 2017 (Invited Paper).
  • [C] Jinglan Liu, Yukun Ding, Jianlei Yang, Ulf Schlichtmann, Yiyu Shi, "Generative adversarial network based scalable on-chip noise sensor placement," in Proc. of IEEE International System-on-Chip Conference, pp. 239-242, 2017 (Invited Paper).
  • [C] Baris Yigit, Grace Li Zhang, Bing Li, Yiyu Shi, Ulf Schlichtmann, "Application of machine learning methods in post-silicon yield improvement," in Prof. of IEEE International System-on-Chip Conference (SoCC), pp. 243-248, 2017 (Invited Paper).
  • [C] Shaoheng Luo, Baixin Chen, Ke Li, Cheng Zhuo, Yiyu Shi, "Novel LC Resonant Clocking for 3D IC using TSV-Inductor and Capacitor," in Proc. of Electrical Design of Advanced Packaging and Systems Symposium (EDAPS), pp. 1-3, 2017
  • [C] Xiaowei Xu, Dewen Zeng, Wenyao Xu, Yiyu Shi, Yu Hu, "An Efficient Memristor-based Distance Accelerator for Time Series Data Mining on Data Centers," in Proc. of the 54th Annual Design Automation Conference (DAC'17), TX, 2017 (acceptance rate 24%)
  • [C] Yu-Guang Chen, Michihiro Shintani, Takashi Sato, Yiyu Shi and Shih-Chieh Chang, "Pattern Based Runtime Voltage Emergency Prediction: An Instruction-Aware Block Sparse Compressed Sensing Approach," in Proc of Asia and South Pacific Design Automation Conference, Japan, 2017 (Invited Paper).
  • [C] Kassan Unda, Chung-Han Chou, Shih-Chieh Chang, Cheng Zhuo and Yiyu Shi, "CN-SIM: A Cycle-Accurate Full System Power Deliver Noise Simulator," in Proc. of Asia and South Pacific Design Automation Conference, Japan, 2017 (Invited Paper).
  • [C] Tianchen Wang, Kangli Hao, Chun-Chen Liu and Yiyu Shi, "Resource Constrained Real-time Lane-Vehicle Detection for Advanced Driver Assistance on Mobile Devices," in Proc. of ACM SIGAPP Symposium On Applied Computing, Morocco, 2017
  • [C] Travis Schulze, Kevin Kwiat, Charles Kamhoua and Yiyu Shi, "RECORD: Temporarily Randomized Encoding of Combinational Logic for Resistance to Data Leakage from Hardware Trojan," in Proc. of IEEE Asian Hardware Oriented Security and Trust Symposium, Taiwan, 2016
  • [C] Jie Wu, Jinglan Liu, Sharon X. Hu and Yiyu Shi, "Privacy Protection via Appliance Scheduling in Smart Homes," in Proc. of IEEE/ACM International Conference on Computer-Aided Design, Austin, TX, 2016 (Invited Paper)
  • [C] Jie Wu, Ulf Schlichtmann and Yiyu Shi, "On the Measurement of Power Grid Robustness Under Load Uncertainties," in Proc. of IEEE Smatgridcomm, Sydney, Australia, 2016
  • [C] Zhuo Cheng, Kassan Unda, Yiyu Shi and Wei-Kai Shih, "A Novel Cross-Layer Framework for Early-Stage Power Delivery and Architecture Co-Exploration," in Proc. of IEEE/ACM Design Automation Conference, Austin, TX, 2016. (Nominated for Best Paper Award) (acceptance rate 22.6%)
  • [C] Bingjun Xiao, Jinjun Xiong, Yiyu Shi, "Novel applications of deep learning hidden features for adaptive testing," in Proc. of the Asia and South Pacific Design Automation Conference, ASP-DAC, pp. 743-748. 2016
  • [C] Jie Wu, Peter Feldmann, Jinjun Xiong and Yiyu Shi, "Multi-Threading Based Parallel Dynamic Simulator for Transient Behavior Analysis of Power Systems," in Proc. of IEEE SmartGridComm, Miami, FL, 2015.
  • [C] Tao Wang, Jinglan Liu, Cheng Zhuo, and Yiyu Shi "1-Bit Compressed Sensing Based Framework for Built-in Resonance Frequency Prediction Using On-Chip Noise Sensors," in Proc. of IEEE/ACM International Conference on Computer-Aided Design, pp. 721-728, Austin, TX, 2015.
  • [C] Jinglan Liu, Da-Cheng Juan, and Yiyu Shi "Effective EDA Research in the Sea of Papers," in Proc. of IEEE/ACM International Conference on Computer-Aided Design, pp. 781-785, Austin, TX, 2015 (Invited Paper).
  • [C] Tianchen Wang, Sandeep K. Samal, Sungkyu Lim and Yiyu Shi," A Novel Entropy Production Based Full-Chip TSV Fatigue Analysis," in Proc. of IEEE/ACM International Conference on Computer-Aided Design, pp. 744-751, Austin, TX, 2015.
  • [C] Yang Liu, Shiyan Hu, Jie Wu, Yiyu Shi, Yier Jin, Yu Hu and Xiaowei Li, "Impact Assessment of Net Metering on Smart Home Cyberattack Detection," in Proc. of IEEE/ACM Design Automation Conference, San Francisco, CA, 2015 (acceptance rate 20.5%).
  • [C] Jie Wu, Jinjun Xiong, Prasenjit Shil and Yiyu Shi, "Optimal Selection of Phasor Measurement Units for Identifying Multiple Line Outages in Smart Grid," in Proc. of IEEE PES Conference on Innovative Smart Grid Technologies, Washington, DC, 2015.
  • [C] Chang Xu, Peixin Li, Guojie Luo, Yiyu Shi and Iris Hui-Ru Jiang, "Analytical Clustering Score with Application to Post-Placement Multi-Bit Flip-Flop Merging," in Proc. of ACM International Symposium on Physical Design, pp. 93-100, Monterey, CA, 2015.
  • [C] Yu-Guang Chen, Wan-Yu Wen, Tao Wang, Yiyu Shi and Shih-Chieh Chang, "Q-Learning Based Dynamic Voltage Scaling for Designs with Graceful Degradation," in Proc. of ACM International Symposium on Physical Design, pp. 41-48, Monterey, CA, 2015. (Nominated for Best Paper Award)
  • [C] Yu-Wei Wu, Yiyu Shi and Tsung-Yi Ho, "Obstacle-Avoiding Wind Turbine Placement for Power-Loss and Wake-Effect Optimization," in Proc. of Asia and South Pacific Design Automation Conference, pp. 202-207, Japan, 2015.
  • [C] Khalid Al-Jabery, Donald C. Wunsch, Jinjun Xiong and Yiyu Shi, "A Novel Grid Load Management Technique Using Electric Water Heaters and Q-Learning," in Proc. of IEEE SmartGridComm, pp. 776-781, Italy, 2014.
  • [C] Jie Wu, Jinjun Xiong, Prasenjit Shil and Yiyu Shi, "Real Time Anomaly Detection in Wide Area Monitoring of Smart Grids," in Proc. of International Conference on Computer-Aided Design, pp. 197-204, San Jose, CA, 2014. (Invited Paper) (Acceptance rate 25%)
  • [C] Umamaheswara Rao Tida, Varun Mittapalli, Cheng Zhuo and Yiyu Shi, "Opportunistic Through-Silicon-Via Inductor Utilization in Resonant Clock: Concept and Algorithms," in Proc. of International Conference on Computer-Aided Design, pp. 750-757, San Jose, CA, 2014. (Acceptance rate 25%)
  • [C] Tao Wang, Chun Zhang, Jinjun Xiong, Pei-wen Luo, Liang-Chia Cheng and Yiyu Shi, "Variation Aware Optimal Threshold Voltage Computation for On-Chip Noise Sensors," in Proc. of International Conference on Computer-Aided Design, pp. 205-212, San Jose, CA, 2014. (Best Paper in Track) (Acceptance rate 25%)
  • [C] Wenjian Yu, Chao Zhang, Qing Wang and Yiyu Shi, "Extraction for 3D ICs with Cylindrical Inter-Tier-Vias," in Proc. of International Conference on Computer-Aided Design, pp. 702-709, San Jose, CA, 2014. (Acceptance rate 25%)
  • [C] Hsuesh-Ling Yu, Yih-Lang Li, Tzu-Yi Liao, Tianchen Wang, Yiyu Shi, and Shu-Fei Tsai, "Fast and Accurate Emissivity and Absolute Temperature Maps Measurement for Integrated Circuits," in Proc. of International Conference on Computer-Aided Design, pp. 542-549, San Jose, CA, 2014. (Acceptance rate 25%)
  • [C] Jie Wu, Jinjun Xiong, Prasenjit Shil and Yiyu Shi, " Optimal PMU Placement for Identification of Multiple Power Line Outages in Smart Grids," in Proc. of International Midwest Symposium on Circuits and Systems, pp. 354-357, College Station, TX, 2014. (Invited Paper)
  • [C] Umamaheswara Rao Tida, Varun Mittapalli, Cheng Zhuo and Yiyu Shi, " "Green" On-chip Inductors in Three-Dimensional Integrated Circuits," in Proc. of IEEE Computer Society Annual Symposium on VLSI, pp. 571-576, Tampa, FL, 2014. (Invited Paper)
  • [C] Yuguang Chen, Tao Wang, Kuan-Yu Lai, Wen-Yu Wen, Yiyu Shi and Shih-Chieh Chang, "Critical Path Monitor Enabled Dynamic Voltage Scaling for Graceful Degradation in Sub-Threshold Designs," in IEEE/ACM Design Automation Conference, pp. 1-6, San Francisco, CA, 2014
  • [C] Jie Wu, Jinjun Xiong and Yiyu Shi, "Ambiguity Group Based Location Recognition of Multiple Power Line Outages in Smart Grids," in IEEE PES Innovative Smart Grid Technologies Conference, 2014, Washington, DC, 2014
  • [C] Umamaheswara Rao Tida, Varun Mittapalli, Cheng Zhuo and Yiyu Shi, " "Green" On-chip Inductors in Three-Dimensional Integrated Circuits," in IEEE Computer Society Annual Symposium on VLSI, pp. 1-5, Tampa, FL, 2014. (Invited Paper)
  • [C] Hui Geng, Luke Marecsa, Brian Cronquist, Zvi Or-Bach and Yiyu Shi, "Monolithic Three-Dimensional Integrated Circuits: Process and Design Implications," in ECS Transactions, 225th Electrochemical Society Meeting, Florida, 2014 (Invited Paper)
  • [C] Yiyu Shi and Hung-Ming Chen, "Memcomputing: the Cape of Good Hope," in Proc. of Design, Automation & Test in Europe, Germany, 2014 (Invited Paper) (Acceptance rate 23.1%)
  • [C] Chun Zhang, Jinjun Xiong and Yiyu Shi, "Cycle Accurate Simulator for Memcomputing," in Proc. of Design, Automation & Test in Europe, Germany, 2014 (Invited Paper) (Acceptance rate 23.1%)
  • [C] Yu-Guang Chen, Kuan-Yu Lai, Ming-Chao Lee, Yiyu Shi, Wing-Kai Hon and Shih-Chieh Chang, "Yield and Timing Constrained Spare TSV Assignment for Three-Dimensional Integrated Circuits," in Proc. of Design, Automation & Test in Europe, Germany, 2014 (Acceptance rate 23.1%)
  • [C] Jie Wu, Jinjun Xiong and Yiyu Shi, "Ambiguity Group Based Location Recognition of Multiple Power Line Outages in Smart Grids," in IEEE PES Innovative Smart Grid Technologies Conference, Washington, DC, 2014.
  • [C] Hao Zhu and Yiyu Shi, "Phasor Measurement Unit Placement for Identifying Power Line Outages in Wide-Area Transmission System Monitoring," in Proc. of Hawaii International Conference on System Sciences, pp. 2483-2492, Hawaii, 2014 (Acceptance rate ~50%)
  • [C] Umamaheswara Rao Tida, Cheng Zhuo and Yiyu Shi, "Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?" in Proc. of Asia and South Pacific Design Automation Conference, pp. 837-842, Singapore, 2014 (Acceptance rate 31.4%)
  • [C] Tao Wang, Chun Zhang, Jinjun Xiong and Yiyu Shi, "Eagle-Eye: A Near-Optimal Statistical Framework for Noise Sensor Placement," in Proc. of International Conference on Computer-Aided Design, pp. 437-443, San Jose, 2013 (Acceptance rate: 26%)
  • [C] Chun Zhang, Moongon Jung, Sung Kyu Lim and Yiyu Shi, "Novel Crack Sensor for TSV-based 3D Integrated Circuits: Design and Deployment Perspectives," in Proc. of International Conference on Computer-Aided Design, San Jose, pp. 371-378, 2013 (Acceptance rate: 26%)
  • [C] Chung-Han Chou, Nien-Yu Tsai, Hao Yu, Yiyu Shi, Jui-Hung Chien and Shih-CHieh Chang, "On the Futility of Thermal Through-Silicon-Vias", in Proc. of International Symposium on VLSI Design, Automation and Test, 2013
  • [C] Chang-Cheng Tsai, Yiyu Shi, Guojie Luo and Iris Hui-Ru Jiang, "FF-Bond: Multi-bit Flip-Flop Bonding at Placement", in Proc. of International Symposium on Physical Design, pp. 147-153, 2013 (Nominated for Best Paper Award, 4 out of 55 submissions) (Acceptance rate: 30%)
  • [C] Pei-Wen Luo, Chun Zhang, Yung-Tai Chang, Liang-Chia Cheng, Hung-Hsie Lee, Bih-Lan Sheu, Yu-Shih Su, Ding-Ming Kwai, and Yiyu Shi, "Benchmarking for Research in Power Delivery Networks of Three-Dimensional Integrated Circuits", in Proc. of International Symposium on Physical Design, pp. 17-24, 2013 (Acceptance rate: 30%)
  • [C] Shuai Tao, Xiaoming Chen, Yu Wang, Yuchun Ma, Yiyu Shi, Hui Wang, Huazhong Yang, " HS3DPG: Hierarchical Simulation for 3D P/G Network," in Proc. of IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 509-514, 2013 (Acceptance rate 31%)
  • [C] Hui Geng, Yiyu Shi, Ming Dong and Runsheng Liu, "A Mater-Slave SOC Structure for HMM Based Speech Recognition," in Proc. of International Symposium on VLSI Design, Automation and Test, pp. 1-4, April, 2012
  • [C] Hui Geng, Jun Wu, Minsu Choi and Yiyu Shi, "Randomized Computing in Cryptography: Where is the Tofu?," in Proc. of IEEE/ACM International Conference on Computer-aided Design, pp. 163-167, 2012 (Invited Paper) (Acceptance rate: 24%)
  • [C] Yu-Guang Chen, Yiyu Shi, Kuan-Yu Lai, Geng Hui and Shih-Chieh Chang, "Efficient Multiple-Bit Retention Register Assignment for Power Gated Design: Concept and Algorithms, " in Proc. of IEEE/ACM International Conference on Computer-aided Design, pp. 309-316, Nov., 2012 (Acceptance rate: 24%)
  • [C] Pei-Wen Luo, Tao Wang, Chin-Long Wey, Liang-Chia Cheng, Bih-Lan Sheu, and Yiyu Shi,"Reliable Power Delivery System Design for Three-Dimensional Integrated Circuits", in Proc. of IEEE Computer Society Annual Symposium on VLSI (ISVLSI), pp. 356-361, Aug., 2012 (Invited Paper)
  • [C] Zhihao Li, Chenye Wu, Jiong Chen, Yiyu Shi, Jinjun Xiong and Amy Yuexuan Wang, "Power Distribution Network Reconfiguration for Bounded Transient Power Loss," in Proc. of IEEE PES Innovative Smart Grid Technologies Asia, pp. 1-5, May, 2012
  • [C] Zhihao Li, Chenye Wu, Jiong Chen, Yiyu Shi, Jinjun Xiong and Amy Yuexuan Wang, "Power Distribution Network Reconfiguration for Bounded Transient Power Loss," in Proc. of IEEE PES Innovative Smart Grid Technologies Asia, pp. 1-5, May, 2012
  • [C] Ming-Chao Lee, Yiyu Shi, Yu-Guang Chen, Diana Marculescu and Shih-Chieh Chang, "Efficient On-line Module-Level Wake-Up Scheduling for High Performance Multi-Module Designs, " in Proc. of International Symposium on Physical Design, pp. 97-104, Napa Valley, Mar., 2012 (Acceptance rate: 35.7%)
  • [C] Tao Wang, Pei-Wen Luo, Yu-Shih Su, Liang-Chia Cheng, Ding-Ming Kwai and Yiyu Shi, "Capturing the Phantom of the Power Grid - On the Runtime Adaptive Techniques for Noise Reduction," in Proc. of IEEE/ACM Asia and South Pacific Design Automation Conference, pp. 640-645, Australia, Feb., 2012 (Invited Paper) (Acceptance rate: 34.4%)
  • [C] Chiao-Ling Lung, Jui-Hung Chien, Yiyu Shi and Shih-Chieh Chang, "TSV Fault-tolerant Mechanisms and an Application on 3D Clock Trees," in Proc. of International SOC Design Conference, pp. 127-130, South Korea, Oct., 2011 (Invited Paper)
  • [C] Siyu Yue, Jiong Chen, Yuantao Gu, Chenye Wu and Yiyu Shi, "Dual-Pricing Policy for Controller-Side Strategies in Demand Side Management," in Proc. of IEEE SmartGridComm, pp. 357-362, Belgium, Oct, 2011 (Acceptance rate: 40%)
  • [C] Yiyu Shi and Jinjun Xiong, "Contingency Constrained Economic Dispatch in Smart Grids with Correlated Demands," in Proc. of IEEE SmartGridComm, pp. 333-338, Belgium, Oct., 2011 (Acceptance rate: 40%)
  • [C] Chung-Han Chou, Nien-Yu Tsai, Hao Yu, Che-Rung Lee, Yiyu Shi and Shih-Chieh Chang, "On the Preconditioner of Conjugate Gradient Method - A Power Grid Simulation Perspective," in Proc. of International Conference on Computer-aided Design, pp. 494-497, San Jose, 2011 (Invited Paper) (Acceptance rate: 30%)
  • [C] Chunchun Sui, Jun Wu, Yiyu Shi, Yong-Bin Kim and Minsu Choi, " Random Dynamic Voltage Scaling Design to Enhance Security of NCL S-Box," in Proc. of International Midwest Symposium on Circuits and Systems, Seoul, Korea, Aug., 2011
  • [C] Tao Wang, Jingook Kim, Jun Fan and Yiyu Shi, "Compressed Sensing Based Analytical Modeling for Through-Silicon-Via Pairs," in Proc. of International Midwest Symposium on Circuits and Systems, Seoul, Korea, Aug., 2011 (Invited Paper)
  • [C] Tao Wang, Yiyu Shi, Songping Wu and Jun Fan, "Estimation of Crosstalk among Multiple Stripline Traces Crossing a Split by Compressed Sensing," in Proc. of EMC Symposium, pp.156-160, Long Beach, Aug., 2011
  • [C] Hanfeng Wang, Jingook Kim, Yiyu Shi and Jun Fan, "The Effects of Substrate Doping Density on the Electrical Performance of Through-SIlicon Vias," in Proc. of Asia-Pacific EMC Symposium, Jeju Island, Korea, 2011
  • [C] Chiao-Ling Lung, Yu-Shih Su, Hsih-Hsiu Huang, Yiyu Shi and Shih-Chieh Chang, "Fault Tolerant 3D Clock Scheme," in Proc. of Design Automation Conference, pp. 645-651, San Diego, Jun., 2011 (Acceptance rate: 23%)
  • [C] Jason Cong, Guojie Luo and Yiyu Shi, "Thermal-aware Cell and Through-Silicon-Va Co-Placement for 3D ICs," in Proc. of Design Automation Conference, pp. 670-675, San Diego, Jun., 2011 (Acceptance rate: 23%)
  • [C] Jun Wu, Yiyu Shi and Minsu Choi, "FPGA-based Measurement and Evaluation of Power Analysis Attack Resistant Asynchronous S-Box," in Proc. of International Instrumentation and Measurement Technology Conference, Hangzhou, China, Dec., 2011
  • [C] Yiyu Shi and Lei He, "Modeling and Design for Beyond-the-Die Power Integrity," in Proc. of International Conference on Computer-aided Design, pp. 411-416, San Jose, Nov., 2010 (Tutorial) (Acceptance rate: 30%)
  • [C] Bingjun Xiao, Yiyu Shi and Lei He, "A Universal State-of-Charge Algorithm for Batteries," in Proc. of Design Automation Conference, pp. 687-692, Anaheim, Jun., 2010 (Acceptance rate: 22%)
  • [C] Fang Gong, Hao Yu, Yiyu Shi, Daesoo Kim, Junyan Ren and Lei He, "QuickYield: An Efficient Global-Search Based Parametric Yield Estimation with Performance Constraints," in Proc. of Design Automation Conference, pp. 392-397, Anaheim, Jun., 2010 (Acceptance rate: 22%)
  • [C] Jinjun Xiong, Yiyu Shi, Vladimir Zolotov and Chandu Visweswariah, "Pre-ATPG Path Selection for Near Optimal Post-ATPG Process Space Coverage," in Proc. of International Conference on Computer-aided Design, pp. 89-96, San Jose, Nov., 2009 (Acceptance rate: 26%)
  • [C] Wei Yao, Yiyu Shi, Lei He and Sudhakar Pamarti, "Joint Design-time and Post-silicon Optimization for Digitally Tuned Analog Circuits," in Proc. of International Conference on Computer-aided Design, pp. 725-730, San Jose, 2009 (Acceptance rate: 26%)
  • [C] Yiyu Shi, Wei Yao, Lei He and Sudhakar Pamarti, "Joint Design-time and Post-silicon Optimization for Analog Circuits: A Case Study Using A High-speed Transmitter," SRC Techcon Conference, Austin, Jul., 2009
  • [C] Wei Yao, Yiyu Shi and Lei He and Sudhakar Pamarti, "Worst Case Timing Jitter and Amplitude Noise in Differential Signaling," SRC Techcon Conference, Austin, Jul., 2009.
  • [C] Jinjun Xiong, Yiyu Shi, Vladimir Zolotov and Chandu Visweswariah, "Statistical Multilayer Process Space Coverage for At-Speed Test," in Proc. of Design Automation Conference, pp. 340-345, San Francisco, Jul., 2009 (Nominated for Best Paper Award, 7 out of 682 submissions) (Acceptance rate: 22%)
  • [C] Wei Yao, Yiyu Shi, Lei He and Sudhakar Pamarti, "Worst Case Timing Jitter and Amplitude Noise in Differential Signaling," in Proc. of International Symposium on Quality Electronic Design, pp. 40-46, San Jose, Mar., 2009 (Acceptance rate: 29%)
  • [C] Yiyu Shi, Wei Yao, Jinjun Xiong and Lei He, "Incremental and On-demand Random Walk for Iterative Power Distribution Network Analysis," in Proc. of Asia and South Pacific Design Automation Conference, pp. 185-190, Feb., 2009 (Acceptance rate: 33%)
  • [C] Yiyu Shi, Jinjun Xiong, Howard Chen and Lei He, "Clock Frequency Actuator with Efficient Stochastic Current Prediction for Runtime Resonance Noise Reduction," in Proc. of Asia and South Pacific Design Automation Conference, pp. 373-378, Japan, 2009 (Nominated for Best Paper Award, 12 out of 350 submissions) (Acceptance rate: 33%)
  • [C] Yiyu Shi, Lei He and C.-J. Richard Shi, "Scalable Symbolic Model Order Reduction," in Proc. of International Behavioral Modeling and Simulation Conference, pp. 112-117, Sept., 2008.
  • [C] Chunchen Liu, Junjie Su and Yiyu Shi, "Temperature Aware Routing Synthesis Considering Spatiotemporal Hotspot," in Proc. of International Conference on Compute Design, pp. 107-113, Lake Tahoe, Oct., 2008. (Nominated for Best Paper Award, <6%) (Acceptance rate: 31%)
  • [C] Yiyu Shi, Jinjun Xiong, Chunchen Liu and Lei He, "Efficient Decoupling Capacitance Budgeting Considering Operation and Process Variations," in Proc. of International Conference on Computer-Aided Design, pp. 803-810, San Jose, Nov., 2007. (Nominated for Best Paper Award, 9 out of 510 submissions) (Acceptance rate: 27%)
  • [C] Yiyu Shi and Lei He, "EMPIRE: An Efficient and Compact Multiple-Parameterized Model Order Reduction Method for Physical Optimization," SRC Techcon Conference, Texas, July, 2007.
  • [C] Yiyu Shi and Lei He, "EMPIRE: An Efficient and Compact Multiple-Parameterized Model Order Reduction Method for Physical Optimization," in Proc. of International Symposium on Physical Design, pp. 25-32, Texas, Mar., 2007 (Acceptance rate: 34%)
  • [C] Hao Yu, Yiyu Shi and Lei He, "A First Order Block Structure Preserving Model Order Reduction with Inversed Inductance," in Proc. of International Conference on Computer-Aided Design, pp. 7-12, San Jose, Nov., 2006 (Acceptance rate: 23%)
  • [C] Hao Yu, Yiyu Shi, Lei He and Tanay Karnik, "A Hotspot-Driven Thermal Via Allocation for 3D ICs by Parameterized Sensitivity," in Proc. of International Symposium on Low Power Electronics and Design, pp. 156-161, Germany, 2006 (Acceptance rate: 35%)
  • [C] Hao Yu, Yiyu Shi and Lei He, "Fast Analysis of Structured Power Grid by Triangularization Based Structure Preserving Model Order Reduction," in Proc. of Design Automation Conference, pp. 205-210, San Francisco Jul., 2006. (Nominated for Best Paper Award, 10 out of 1007 submissions) (Acceptance rate: 21%)
  • [C] Yiyu Shi, Paul Mesa, Hao Yu and Lei He, "Circuit Simulation Based Obstacle-aware Steiner Routing," in Proc. of Design Automation Conference, pp. 385-388, San Francisco, Jul., 2006 (Acceptance rate: 21%)
  • [C] Yiyu Shi, Hao Yu, and Lei He, "SAMSON: A Generalized Second-Order Arnoldi Method for Reducing Multiple Source Linear Network with Susceptance," in Proc. of International Symposium on Physical Design, pp. 25-32, San Jose, Mar., 2006 (Acceptance rate: 35%)
  • [C] Zhen Cao, Tong Jing, Yu Hu, Yiyu Shi, Xianlong Hong, Xiaodong Hu, and Guiying Yan, "DraXRouter: Global Routing in X-Architecture with Dynamic Resource Assignment," in Proc. of Asia and South Pacfic Design Automation Conference, pp. 618-623, Japan, Feb., 2006 (Acceptance rate: 42%)
  • [C] Yiyu Shi, Tong Jing, Lei He and Zhe Feng, "CDCTree: Novel Obstacle-Avoiding Routing Tree Construction based on Current Driven Circuit Model," in Proc. of Asia and South Pacific Design Automation Conference, pp. 630-635, Japan, Feb., 2006 (Acceptance rate: 42%)



WORKSHOP PRESENTATIONS

  • [W] Xiaowei Xu, Qing Lu, Tianchen Wang, Jinglan Liu, Yu Hu and Yiyu Shi, "Efficient Hardware Implementation of Cellular Neural Networks with Powers-of-Two Based Incremental Quantization", Neuromorphic Computing Symposium, Knoxville, Tennessee, July 2017.
  • [W] Zhongyang Liu, Shaoheng Luo, Xiaowei Xu, Yiyu Shi and Cheng Zhuo, "A Multi-Level Optimization Framework for Efficient FPGA-Based Cellular Neural Network Implementation", Neuromorphic Computing Symposium, Knoxville, Tennessee, July 2017.
  • [W] Yu-Wei Wu, Yiyu Shi, Sudip Roy and Tsung-Yi Ho, "Obstacle-Avoiding Wind Turbine Placement for Power-Loss and Wake-Effect Optimization," in Proc. of the 19th Workshop on Synthesis And System Integration of Mixed Information Technologies, Taiwan, 2015.
  • [W] Tao Wang, Chun Zhang, Jinjun Xiong and Yiyu Shi, "A Near-Optimal Statistical Framework for Noise Sensor Placement," International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Lake Tahoe, 2013
  • [W] Yu-Guang Chen, Yiyu Shi, Kuan-Yu Lai and Shih-Chieh Chang, "Efficient Retention Register Assignment for Power Gated Designs," International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Taiwan, 2012
  • [W] Chung-Han Chou, Nien-Yu Tsai, Hao Yu, Jui-Hung Chien, Yiyu Shi and Shih-Chieh Chang, " On the Futility of Thermal Through-Silicon-Vias," International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Taiwan, 2012
  • [W] Chung-Han Chou, Nien-Yu Tsai, Hao Yu, Che-Rung Lee, Yiyu Shi and Shih-Chieh Chang, "On the Preconditioner of Conjugate Gradient Method - A Power Grid Simulation Perspective, " International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Taiwan, 2012 (Invited)
  • [W] Ming-Chao Lee, Yiyu Shi, Yu-Guang Chen, Shih-Chieh Chang and Diana Marculescu, "Efficient Wake-Up Scheduling for Multi-Core Systems," International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Santa Babara, 2011
  • [W] Chiao-Ling Lung, Yu-Shih Su, Hsih-Hsiu Huang, Yiyu Shi and Shih-Chieh Chang, "Fault Tolerant 3D Clock Scheme," International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Santa Babara, 2011
  • [W] Jinjun Xiong, Yiyu Shi, Vladimir Zolotov and Chandu Visweswariah, "Statistical Multilayer Process Space Coverage for At-Speed Test," International Workshop on Timing Issues in the Specification and Synthesis of Digital Systems, Austin, 2009